HDL Aligner
HDL Aligner is a VS Code extension that automatically aligns VHDL code on the separators <=, :=, and =>.
Commands
Align HDL on <= / := / =>
Main command to align VHDL code.
Keyboard Shortcuts
Alt+Q → Executes the command hdlAligner.alignAllSeparators
Installation
- Open VS Code
- Search for
hdl-aligner in the Extensions Marketplace
- Click Install
Example
Before:
entity hdl_exemple is
port (
-- Group 1
input_short : in std_logic; -- comment 1
input : in std_logic; -- comment 2
input_very_long : in std_logic; -- comment 3
-- Group 2
output_short : out std_logic_vector(7 downto 0); -- comment 5
output : out std_logic; -- comment 6
output_very_long : out std_logic -- comment 7
);
end hdl_exemple;
After :
entity hdl_exemple is
port (
-- Group 1
input_short : in std_logic; -- comment 1
input : in std_logic; -- comment 2
input_very_long : in std_logic; -- comment 3
-- Group 2
output_short : out std_logic_vector(7 downto 0); -- comment 5
output : out std_logic; -- comment 6
output_very_long : out std_logic -- comment 7
);
end hdl_exemple;
| |